Технологии

EUV-литография: на пределе возможного

Новая технология позволит создать микропроцессоры, работающие в 30 раз быстрее

Не раз исследователи и аналитики предсказывали, что в ближайшие годы процесс миниатюризации микросхем достигнет физических пределов, которые уже нельзя преодолеть. Однако пока этого не случилось. Высочайшая степень интеграции позволяет год от года наращивать мощность микропроцессоров и выпускать кристаллы памяти, способные хранить миллиарды бит данных. Так, согласно сетевому графику развития индустрии, утвержденному полупроводниковой промышленной ассоциацией SIA (Semiconductor Industry Association), в 2002 г. стандартными проектными нормами должны стать 0,13 мкм, в 2005 г. - 0,1 мкм, в 2008 г. - 0,07 мкм и в 2014 г. - 0,035 мкм. Последние цифры, в частности, означают, что при производстве терабитных микросхем на одном квадратном сантиметре будет расположено до 390 млн. транзисторов.

миниатюризация микросхем

Тем не менее, помимо чисто физических проблем, процесс уменьшения размеров транзисторов и увеличения степени их интеграции уже наталкивается на ограничения, связанные с особенностями производства микросхем. Вообще говоря, свойства устройств, которые создаются на одной кремниевой пластине, равно как и на разных пластинах, не идентичны. Отклонения могут возникать на каждом из этапов производства. Характер вероятных различий между производимыми кристаллами и частота появления просто бракованных устройств могут стать реальной преградой на пути дальнейшей миниатюризации элементов интегральной схемы. Отметим, что миниатюризация касается не только длины и ширины элемента схемы, но и толщины самого кристалла. Транзисторы и соединения на нем реализуются с помощью серии уровней, в современных микросхемах их может быть пять или шесть. Уменьшение размеров транзисторов и увеличение плотности их размещения на кристалле влечет за собой увеличение числа уровней. Однако чем больше слоев в схеме, тем тщательнее должен быть контроль за ними в процессе производства, поскольку на каждый из уровней будут оказывать влияние нижележащие. Стоимость усовершенствования средств контроля и создания соединений между множеством уровней может оказаться фактором, сдерживающим увеличение числа слоев.

Кроме всего прочего, усложнение интегральных схем требует совершенствования условий производства, к которым и так предъявляются беспрецедентно высокие требования. Необходим более точный механический контроль за позиционированием исходной кремниевой пластины. Стерильное помещение (так называемая чистая комната), где создаются микросхемы, должно стать еще чище, дабы исключить попадание мельчайших частичек пыли, способных разрушить сложнейшую схему.

Овес нынче дорог...

Стоимостные и технологические вопросы в полупроводниковой промышленности тесно взаимосвязаны. Для развития современных технологий микроэлектроники и создания новых производств нужны огромные инвестиции для приобретения специального технологического оборудования и строительства чистых помещений. Сложность и стоимость полупроводникового производства постоянно растут. Самую высокую долю в стоимости полупроводниковой фабрики составляет технологическое и контрольно-измерительное оборудование. По некоторым оценкам, процентная доля этого оборудования в общей стоимости предприятия может достигать 50-70%. Считается, что для наращивания производства полупроводниковым фирмам необходимо выделять на капитальные нужды более 20% своего годового дохода, а меньших средств достаточно лишь для его поддержания. Например, компания Sony сообщила, что в этом году она собирается инвестировать в производство полупроводников более 880 млн. долл. IBM также объявила о масштабных планах расширения своего полупроводникового производства. Для этих целей она предполагает выделить 5 млрд. долл. Причем половина указанной суммы пойдет на строительство современного завода в Ист-Фишкилле, на котором будут использоваться все последние достижения в области производства полупроводников, включая технологию медных межсоединений, SOI и технологию высокоэффективного изолятора. Пуск нового завода запланирован на вторую половину 2002 г., а на полную мощность он будет выведен в начале 2003 г.

Intel объявила о том, что в текущем году она планирует инвестировать в полупроводниковое производство около 6,8 млрд. долл., что почти в два раза больше объема прошлогодних инвестиций, составившего 3,4 млрд. долл. Компания намерена вложить 2 млрд. долл. в расширение своего производства в Рио-Ранчо (шт. Нью-Мексико). Существующие производственные площади должны быть увеличены более чем на 90 тыс. кв. м, включая свыше 12 тыс. кв. м, отведенных под чистую комнату. Расширение связано с переходом на новый технологический процесс с соблюдением проектных норм 0,13 мкм для изготовления микропроцессоров на 300-миллиметровых подложках.

Технология литографии

Сегодня процесс изготовления микросхем включает несколько технологических этапов, в число которых входят: литография, ионная имплантация, диффузия и окисление, осаждение, травление, очистка, планаризация и измерения. Важнейшие научные и инженерные разработки ведутся в направлении усовершенствования ключевого этапа производства интегральной схемы - литографии, поскольку именно здесь реально возможно достижение определенного предела в обозримом будущем. Как отмечают эксперты, литография полностью исчерпает свои возможности уже к 2003 г.

Развитие литографической технологии со времени ее изобретения в начале 70-х шло в направлении сокращения длины световой волны. Это позволяло уменьшать размеры элементов интегральной схемы. С середины 80-х в фотолитографии используется ультрафиолетовое излучение, получаемое с помощью лазера. Для того чтобы нанести рисунок схемы на пластину, с помощью управляемой компьютером машины (степпера) выполняется фотолитография. Целью ее является создание в слое материала фоторезиста "окна" заданной конфигурации для доступа травителя к расположенной под этим слоем полупроводниковой пластине с окисной пленкой. Такие "окна" образуются при экспонировании фоторезиста в потоке ультрафиолетового излучения лазера, в результате фоторезист теряет (или приобретает) растворимость. Конфигурацию "окон" задают соответствующие маски, после применения которых полученное изображение конденсируется с помощью специальной системы линз.

Именно она уменьшает заданный на маске шаблон до микроскопических размеров схемы. Кремниевая пластина закрепляется на позиционном столе под системой линз и перемещается с его помощью таким образом, чтобы были последовательно обработаны все размещенные на пластине микропроцессоры. Ультрафиолетовые лучи от лазера проходят через свободные пространства на маске. Под их действием светочувствительный слой в соответствующих местах пластины приобретает способность к растворению и затем удаляется органическими растворителями. Современная фотолитографическая машина обрабатывает за один час несколько десятков восьмидюймовых полупроводниковых пластин.

Сейчас большинство кристаллов производятся с помощью ультрафиолетовых лучей с длиной волны 0,248 мкм. Для создания ряда кристаллов разработана литографическая технология, обеспечивающая длину волны 0,193 мкм. Однако когда фотолитография перешагнула границу 0,2 мкм, возникли серьезные проблемы, которые впервые за историю этой технологии поставили под сомнение возможность ее дальнейшего использования. Например, при длине волны меньше 0,2 мкм слишком много света поглощается светочувствительным слоем, поэтому усложняется и замедляется процесс передачи шаблона схемы на процессор. Подобные проблемы побуждают исследователей и производителей искать альтернативы традиционной литографической технологии. Например, возможность замены ультрафиолетовых лучей рентгеновскими исследуется в научных лабораториях США уже более двух десятилетий.

Выход найден

Одна из технологий, названная EUV (Extreme Ultra Violet) и объединившая вокруг себя несколько известных компаний, нацелена на улучшение процесса фотолитографии при производстве микросхем. Ожидается, что тактовая частота процессоров, которые будут изготовлены с использованием новой технологии, достигнет 10 ГГц в 2005-2006 гг.

В 1996 г. корпорация Intel начала финансирование исследований по EUV, а в 1997 г. вместе с компаниями Motorola и AMD организовала консорциум EUV LLC (Limited Liability Company). Micron Technology и Infineon Technologies присоединились к этому консорциуму в начале 2000 г., а IBM - только в 2001 г. Стремительный прогресс программы EUV LLC привлек к ней интерес индустрии и обеспечил ей широкую поддержку.

Весной этого года представители компьютерной индустрии и правительственных структур США объявили о завершении работ над прототипом первой установки для производства кристаллов с использованием EUV. Над созданием экспериментального тестового стенда (Engineering Test Stand, ETS) совместно работали лаборатории, относящиеся к Министерству энергетики США, и консорциум EUV LLC. Кроме того, три национальные лаборатории - им. Лоуренса в Беркли (Lawrence Berkeley National Laboratory), им. Лоуренса в Ливерморе (Lawrence Livermore National Laboratory) и "Сандиа" (Sandia National Laboratories) - объединили свои усилия в работе над EUV в рамках Виртуальной национальной лаборатории (Virtual National Laboratory).

Как уже отмечалось, современные установки для шагового мультиплицирования на пластину с применением глубокого ультрафиолетового излучения (Deep Ultra Violet, DUV) - машины, которые печатают схемы на кремниевых подложках, используют источники света с длиной волны 248 нм. Длина волны EUV-излучения около 13 нм, т. е. примерно в 20 раз меньше. Переход с DUV- на EUV-литографию обеспечивает более чем 10-кратное уменьшение длины волны и переход в диапазон, где она сопоставима с размерами всего нескольких десятков атомов. Применяемая сейчас литографическая технология позволяет наносить шаблон с минимальной шириной проводников 0,1 мкм, в то время как EUV-литография делает возможной печать линий гораздо меньшей ширины - до 0,03 мкм. Управлять ультракоротким излучением не так просто, как кажется. Поскольку EUV-излучение хорошо поглощается стеклом, то новая технология предполагает использование серии из четырех специальных выпуклых зеркал, которые уменьшают и фокусируют изображение, полученное после применения маски. Каждое такое зеркало содержит 80 отдельных металлических слоев толщиной примерно в 12 атомов.

В течение следующего года на стенде ETS будут работать партнеры консорциума EUV LCC и поставщики литографических систем, чтобы усовершенствовать технологию и подготовить ее к созданию прототипа промышленной установки, отвечающей требованию индустрии к крупносерийному производству.

Чтобы сделать EUV-технологию доступной для широкого использования, предстоит еще многое в ней усовершенствовать. В частности, необходимо разработать механизм, способный захватывать невидимый свет, создать платформы для совмещения картинок на различных этапах изготовления микросхемы, систему зеркал и бездефектных масок, а также новые средства контроля и измерения.

Опубликовано в PC Week/RE №29 2001 г., стр. 25.
Перепечатывается с разрешения автора.